Welcome![Sign In][Sign Up]
Location:
Search - FPGA HDB3

Search list

[Other resourcehdb3

Description: hdb3的发送端源代码,采用verilog可综合格式书写。已经在多款fpga和cpld芯片成功综合实现。
Platform: | Size: 1056 | Author: frankey | Hits:

[Other resourcehdb3 decoder

Description: 我上期做的VHDL设计方案,用于在FPGA或CPLD中实现HDB3的编码-I do view on the VHDL design options for the CPLD or FPGA to achieve HDB3 code
Platform: | Size: 119678 | Author: 王薇 | Hits:

[VHDL-FPGA-Veriloghdb3 decoder

Description: 我上期做的VHDL设计方案,用于在FPGA或CPLD中实现HDB3的编码-I do view on the VHDL design options for the CPLD or FPGA to achieve HDB3 code
Platform: | Size: 119808 | Author: 王薇 | Hits:

[Other Embeded programhdb3

Description: hdb3的发送端源代码,采用verilog可综合格式书写。已经在多款fpga和cpld芯片成功综合实现。-HDB3 sending client source code, Verilog can be used to write an integrated format. Has been in several FPGA and CPLD chip integrated to achieve success.
Platform: | Size: 1024 | Author: frankey | Hits:

[VHDL-FPGA-Veriloghdb3

Description: hDB3的编解码模块 是在maxplusII 下验证过的 并且下到片子中都正确 -HDB3 codec module is tested under maxplusII and down to the film are correct
Platform: | Size: 3072 | Author: duan | Hits:

[VHDL-FPGA-VerilogHDB3

Description: VHDL语言编写的HDB3码的编译码模块-VHDL language code HDB3 codec module
Platform: | Size: 439296 | Author: 容蓉 | Hits:

[VHDL-FPGA-Veriloghdb3

Description: 这是一个很全的HDB3译码的verilog程序,用于FPGA入门所用,verilog的入门很好的程序-This is a very wide of the HDB3 decoding verilog program for entry-FPGA used, verilog entry procedures for good
Platform: | Size: 1024 | Author: xxx | Hits:

[VHDL-FPGA-VerilogHDB3

Description: HDB3编码器与译码 HDB3编码器与译码-HDB3 encoder and decoder
Platform: | Size: 266240 | Author: 一天 | Hits:

[VHDL-FPGA-Verilogvhdl_hdb3

Description: a VHDL source code on HDB3 encoder realaized in FPGA/CPLD
Platform: | Size: 1024 | Author: xiaominjin | Hits:

[VHDL-FPGA-VerilogHDB3

Description: 基于FPGA的HDB3编码器和译码器的实现源代码-the decoder and encoder based on FPGA
Platform: | Size: 260096 | Author: ganzhhua | Hits:

[VHDL-FPGA-VerilogHDB3

Description: 采用FPGA产生数字基带系统传输码型HDB3码,采用《通信原理》例子设计。-Generated by FPGA digital baseband transmission code HDB3 code system, a " communication theory" example design.
Platform: | Size: 289792 | Author: qs | Hits:

[VHDL-FPGA-VerilogFPGA

Description: 其中包含一些以前学习时写过的各种加法器和HDB3编码,以及状态机的一些题目-Which contains a number of previously written a variety of learning adder and HDB3 encoding, and the state machine of some of the topics
Platform: | Size: 2232320 | Author: yuandb | Hits:

[VHDL-FPGA-VerilogHDB3

Description: 基于FPGA的HDB3编码 利用VHDL实现的源码-The HDB3 code based on FPGA implementation using VHDL source code
Platform: | Size: 1024 | Author: yanzi | Hits:

[VHDL-FPGA-VerilogHDB3

Description: 基于FPGA的HDB3码的译码器代码,主要用于译码器-HDB3 yards on FPGA decoder code, mainly for the decoder
Platform: | Size: 1024 | Author: cenmingcan | Hits:

[VHDL-FPGA-VerilogFPGA-data

Description: 本文件内含多个编码,何其有关的文件,例如:HDB3编码,ASK,FSK,CMI,曼彻斯特编码和这些的解码器-This document contains a number of encoding and decoding procedures, ease of reference, learning, Manchester encoding, and HDB3 practicality. You can learn its programming ideas
Platform: | Size: 1162240 | Author: 王海 | Hits:

[Software EngineeringHDB3

Description: the code performs as an encoder used hdb3 form , it can be used in fpga
Platform: | Size: 267264 | Author: xzj | Hits:

[Otherhdb3

Description: HDB3解码应用 基于FPGA的HDB3编解码器的设计与实现-HDB3 decoding application HDB3 codec based on FPGA design
Platform: | Size: 1647616 | Author: dekyiyangzom | Hits:

[VHDL-FPGA-Veriloghdb3

Description: hdb3码编码器 基于fpga的hdb3码编码器 运行可行 并且已经在板子上调试过-hdb3 code
Platform: | Size: 4096 | Author: 胡用 | Hits:

[VHDL-FPGA-Veriloghdb3

Description: 使用FPGA将伪随机码转换成DHB3吗,及解码HDB3码-encode and decode hdb3 using verilog HDL
Platform: | Size: 578560 | Author: 杨洪吉 | Hits:

[VHDL-FPGA-VerilogHDB3

Description: FPGA实验_HDB3编码器设计(包含5个模块)-FPGA design experiments _HDB3 encoder (including 5 modules)
Platform: | Size: 2048 | Author: 俞华义 | Hits:
« 12 »

CodeBus www.codebus.net